FRECUENCIMETRO DIGITAL 'LW3DYL'

 
CLICK AQUI PARA BAJAR ESQUEMATICO Y CIRCUITO.
 

Arquitectura básica:

                                               Podemos definir a un frecuencímetro como un contador de eventos cíclico, esto es, cuenta una serie de sucesos (los ciclos de la frecuencia que estamos midiendo), los presenta en un display, vuelve a cero y comienza a contar nuevamente.

                                               En la figura 1 podemos ver un diagrama en bloques elemental de un frecuencímetro como el que aquí describimos: En el primer bloque tenemos una etapa conformadora de entrada, que es la que adapta el mundo analógico al universo digital. Me explico: en un oscilador o amplificador que trabaje con radiofrecuencia las señales no son cuadradas, que son con las que se trabaja en los sistemas digitales, sino que pueden ser senoidales (en el mejor de los casos) o pueden tener formas complejas. Si las ingresamos directamente al contador no podría distinguir en ellas un patrón regular. Tal vez no podría siquiera contarlas, dado que probablemente haga falta amplificarlas. Para eso se utiliza en la entrada un amplificador de señal de alta impedancia (para no cargar el circuito bajo prueba) acoplado a un Trigger de Schmitt, que es un circuito que empareja y regulariza las ondas para poder ingresarlas al contador digital. Si en la entrada del conformador inyecto una señal, por ejemplo, senoidal de 357 Khz voy a obtener a la salida una señal perfectamente cuadrada de 357 Khz. Obtenemos aquí lo que nos interesa: cualquiera sea lo que tengamos a la entrada lo pasamos a onda cuadrada pero respetando fielmente la frecuencia de la señal, que es lo que pretendemos contar.

     Luego de tener la señal en condiciones para ingresar al contador digital la hacemos pasar por una llave electrónica controlada por un reloj, que se abre a intervalos regulares, en este caso cada 1 segundo. Aquí tenemos el corazón del aparato: supongamos una señal de 3.567 ciclos, si abrimos la llave de paso por 1 segundo en el display aparecerá el número 3.567, que es la frecuencia, o sea, ciclos por segundo.  Aquí podríamos quedarnos tranquilos, pero hemos hecho una sola medida. Tenemos que poner un sistema que luego de esta medida haga otra y otra y otra. Pensemos en el caso de una sintonía por la banda de 80 Mts. (para esto fue diseñado), si midiéramos una sola vez sería engorroso porque al girar el dial buscando una frecuencia determinada habría que estar manualmente tomando medidas a cada trecho y sería muy incómodo.

     Es así que se intercala un sistema de reloj. Este se encarga de controlar secuencialmente las operaciones básicas para que el contador tome una medida después de otra.

             En la figura 2 observamos un pulso de 1 segundo en estado alto que es el que abre la llave electrónica, dejando paso a las señales para contar. Un instante después vemos un pequeño pulso en estado alto denominado latch o cerrojo. Este pulso habilita el número que contó el contador para que pase al display. Ahora lo explicaré con más detalle. Luego de éste viene otro pequeño pulso llamado reset o puesta a cero del contador y  luego nuevamente vuelve el pulso de 1 segundo que habilita la llave.  Supongamos por un momento que el latch no está conectado. En el contador observaríamos los numeritos ir moviéndose rápidamente aumentando hasta que termina el pulso de 1 segundo. Allí se quedarían quietos (y podríamos ver la frecuencia) hasta que llegue el pulso de reset o puesta a cero, con lo que veríamos los numeritos irse a cero para, al abrirse nuevamente la llave, volver a verlos incrementándose rápidamente hasta la cifra final.  Como pueden imaginar, esto es muy cansador para la vista.  Es así que se intercala entre el contador digital y la presentación (los display de 7 segmentos) otra llave electrónica que se abre, dejando pasar los datos, cuando está alto el pulso de latch.    El proceso ahora sería el siguiente: se abre la llave de entrada por 1 segundo y el contador cuenta los ciclos. Al cerrarse la llave de entrada y al haber terminado la cuenta, se abre la llave de latch y el resultado es presentado en el display. Pasado el instante la llave de latch se cierra y el resultado permanece fijo en el display. Aparece ahora el pulso de puesta a cero del contador, pero en el display permanece el resultado de la cuenta anterior, dado que la llave de latch está cerrada, e ignora todo lo que sucede detrás de ella, operando como si fuera una memoria temporal. Terminado el pulso de puesta a cero, luego de un instante vuelve todo a comenzar. Hace una nueva cuenta y al próximo pulso de latch presenta el nuevo resultado, cambiando el anterior si fuera distinto. En este sistema, lo que observamos es solamente el cambio de números en el momento del pulso de latch. Si la frecuencia es siempre la misma no veremos entonces cambio alguno en el display.

 Etapa de entrada:

Pasamos ahora a la descripción de la plaqueta más compleja. Esta consta de un amplificador  conformador de señal de entrada y un sistema de relojería que brinda los pulsos de reloj, latch y reset para accionar los contadores y display.

La señal a medir ingresa a la base de un transistor de alta frecuencia, en este caso usé un BF199, pero puede utilizarse también un BF494. Se amplifica y transfiere a otro BF199, cuyo colector está conectado a una serie de compuertas NAND tipo 74LS132, que se encargan de darle forma perfectamente cuadrada a las señales que entren al sistema. El uso de estos integrados, de tecnología TTL (Transistor Transistor Logic) obedece a que son muy rápidos. Tengan en cuenta que con este frecuencímetro debemos poder medir con comodidad el oscilador de batido del equipo QRP de 80Mts, que anda por los 8 Mhz. Los integrados CMOS de la serie CD4XXX son muy económicos y trabajan con cualquier tensión, pero son lentos, no pudiendo contar más allá de los 3 ó 4 MHz. Los integrados TTL son más caros y hay que alimentarlos con una tensión estabilizada de +5V, pero en el prototipo de este frecuencímetro han llegado a medir hasta los 31 MHz. Vale la pena gastar un centavito más.

Después de las compuertas NAND, con la señal ya puesta en forma, tenemos un integrado 74LS90, que divide la frecuencia a medir por diez. ¿Porqué es esto? Pues porque como ya dijimos, los CMOS son lentos, en este caso luego de un divisor TTL una frecuencia de 8 MHz se transformaría en una de 800 KHz, valor perfectamente manejable para un dispositivo CMOS.

Lógicamente tenemos que adecuar el display a los cambios de resolución en la lectura, dado que se altera la precisión del frecuencímetro, pero ese detalle lo dejo para el final.

Ahora tenemos una gama de frecuencias que es manejable por los CMOS, pero tenemos un problema: los TTL manejan 5 Volt, y los CMOS 12 Volt. Para solucionar este inconveniente es que intercalamos entre la salida del 74LS90 y la entrada del mecanismo de relojería, que es CMOS, un transistor BC547, cuya base es excitada con la salida de +5V del 74LS90 pero alcanza para enviarlo a la saturación aún con los +12V conectados a su colector. Así tenemos repetido en el colector con +12V las señales de entrada que tienen +5V.

El mecanismo de relojería:

Habíamos explicado que para que el contador actúe hace falta una puerta de 1 segundo, luego de cerrarse ésta un pulso de latch para mostrar el resultado en el display y un pulso que vuelva a cero los contadores, para comenzar todo una vez más.

Les había comentado que este contador de frecuencias lo diseñé como visor de sintonía de un equipo QRP. Tuve en cuenta entonces que la puerta de un segundo era muy lenta para el caso de una sintonía continua, porque hace una medición cada dos segundos (un segundo para medir y otro segundo para los pulsos de latch y reset). Es así que escogí una puerta de 0,1 seg, lo que me permite hacer cinco mediciones en un segundo, logrando así suficiente rapidez en la visualización. Esto trae aparejada una reducción en la precisión del equipo, pero es aceptable en un equipo de radioaficionado. En este caso, si estoy en una frecuencia de 3.566.923 Mhz, dado que ya dividí por diez en la entrada y le aplico la décima parte a la puerta de entrada (equivalente a dividir nuevamente por diez) tengo que en el display observo 03.566.9 MHz, que como ya dije, es una precisión más que suficiente para un transmisor QRP o su fase de ajuste.

Un detalle a tener en cuenta es que siempre me acuerdo de los pobres (porque me incluyo en el gremio) y si ven en la plaqueta de relojería dos salidas, una de 500Hz y otra de 50 Hz, es porque doy la posibilidad, al que no tiene los medios de armar un contador con 6 dígitos, a medir megahertz con cuatro dísplay, a saber: Si intento medir un oscilador de 7.482.600 Hz con cuatro dígitos voy a ver en el display  482.6 ¿y cuántos megahertz hay entonces?

Simple, para este caso utilizamos una puerta de 0,01 segundo, que es como dividir por cien en la entrada que ya está dividida por diez, lo que nos hace obtener en el display de cuatro cifras el número 7.482 cuando la entrada de reloj está en 500Hz (puerta de 0,01s) y el número 482,6 cuando está en 50Hz (puerta de 0,1s). Con un simple cálculo mental armamos la cifra 7.482.600, que la obtenemos con una precisión de 100Hz, más que suficiente para nosotros.

Paso a describir en detalle el sistema que utilizo para obtener la puerta y los pulsos de control. Es un viejo diseño europeo que es, a mi criterio, el que mejor funciona. Consta de un integrado CD4018, que es un contador Johnson, que divide por diez los 50 Hz para obtener 5 Hz, o sea, 5 cuentas por segundo. A esa frecuencia, la puerta de entrada permanece 0,1seg. abierta y en el 0,1 seg. restante da los pulsos de latch y reset. La mejor forma de verlo es seguir paso a paso los estados del contador en la figura.

Ahora bien, para obtener los 50 Hz (o 500 Hz para medir megaciclos) es necesario partir de una frecuencia mucho más elevada y estable, para que al irla dividiendo aumente la precisión. Normalmente se utiliza un cristal, que provee una oscilación precisa y sumamente estable conectado a una cadena divisora. Por ejemplo, para obtener 50 Hz partimos de un cristal de 5 MHz y dividimos por 10 para obtener 500 KHz, a su vez por 10 para obtener 50 KHz, otra vez por 10 para tener 5KHz, de nuevo por 10 para sacar 500 Hz y por último nuevamente por 10 para finalmente disponer los dichosos 50 Hz. Hemos tenido que emplear 5 divisores por 10, más el oscilador de cristal, se hace bastante engorroso y también mucho más caro.

No hemos mencionado el problema de conseguir un cristal de 5MHz, cosa bastante difícil. Pero ¿no sería ideal poder utilizar cualquier cristal que disponga, por ejemplo, tirado en el taller o que pueda recuperar de una PC, video o TV viejo? En este proyecto he utilizado el más común de todos los cristales, ese que sirve para NTSC y que sobra de todas las conversiones; el 3,579545M y paso a explicar como encajarlo en el diseño.

Lo primero que tenemos que hacer es “estirar” la frecuencia de oscilación del cristal hasta un número entero. Para esto vemos que en el CD4011 que hace de oscilador hay un trimmer o compensador, que es un capacitor variable ajustable a tornillo. Con ese trimmer se ajusta a la frecuencia de 3.580.000 Hz, que como ven, está apenas 455 Hz más arriba que la frecuencia de trabajo del cristal. Para este ajuste es imprescindible que un amigo nos preste un frecuencímetro o podemos “batirlo” con un receptor de radioaficionado con sintonía digital. Esto es, acercamos la antena del receptor al oscilador, sintonizamos 3.580.0 en CW y ajustamos el trimmer hasta que justo allí no se oiga ningún chiflido.

Tenemos ahora 3.580.000Hz y tenemos que obtener 50Hz. Debemos hacer un divisor por 71.600. ¿Cómo hacemos? Empezamos utilizando un CD4040 conectado para dividir por 716, obteniendo hasta aquí 5.000 Hz. A esta frecuencia la ingresamos a un doble divisor por 10 CD4518 obteniendo una salida de 500 Hz para contar megaciclos (si hiciera falta) y la dichosa frecuencia de 50 Hz a la salida del último divisor.  Si quisieran conectar algún otro cristal o incluso hacer algún experimento con frecuencias extrañas les explicaré en detalle cómo es el uso del CD4040 como divisor programable.

Para los que van a utilizar cuatro display y necesiten conmutar la frecuencia de clock, recuerden que deben instalar una llave doble inversora de la siguiente manera: El punto medio de una de ellas va a la entrada CLK del CD4018 (pata 14). Una va a la salida 500 Hz del CD4518 (pata 10) y otra va a la salida 50 Hz del mismo integrado (pata 14). El otro punto medio va a una resistencia de 1K y ésta a su vez a + 12V. Los extremos van a las conexiones dp (decimal point) del display, de forma que los puntos aparezcan en el lugar correcto cuando mide MHz (en 500Hz) que se vería (p.ej) 5.937 y cuando mide KHz (en 50 Hz) vgr. 937.2.  Para los que van a utilizar seis o más dígitos directamente unan con un cable la pata 14 del CD4518 con la pata 14 del CD4018.-

 

El CD4040 como divisor programable:

Comentaba en el párrafo anterior que me iba a extender en la explicación de cómo programar un contador binario ripple-carry de 12 etapas tipo CD4040 para que puedan dividir la frecuencia que quieran, por si acaso tienen otro cristal para el frecuencímetro o si se les ocurre algún otro proyecto y necesiten dividir por algún número en especial.

                        Para esto vamos a estudiar en detalle el uso de diodos para programar un dispositivo CMOS, nos remitimos entonces a las figuras 1 y 2. En la fig.1 vemos una resistencia conectada a positivo de alimentación, ésta a un seguidor y también a un diodo. El cátodo del diodo va a otro seguidor CMOS. Cuando en el seguidor de abajo tengo un 1 lógico voy a tener a la salida una tensión de aproximadamente 11,5V, por lo que el diodo va a estar polarizado en inversa, no circula corriente y en la entrada del seguidor de arriba tendré +12V, o sea un 1 lógico y la salida será de 1.

                        El tema cambia en la figura 2. Allí aplico un 0 lógico en la entrada del seguidor de abajo, por lo que a la salida tendré una tensión de 0,05V. El diodo queda así polarizado en directa, por lo que en la entrada del segundo seguidor tengo una tensión de 0,05V + 0,7V (la barrera del diodo)= 0,75V, que es interpretado por el dispositivo como un 0 lógico, dado que los CMOS dan un cero a la salida al aplicarles entre 0 y 3,5V a la entrada (si lo alimentamos con 12V) y un uno a la salida si le aplicamos entre 6,5 V y 12 V. Tendremos en este caso un cero lógico en la salida del segundo seguidor.

                        En la figura 3 vemos en detalle un CD4040 conectado en este caso como divisor por 6, dado que le conectamos diodos en las patas 7 y 6, que dividen por 2 y por 4 respectivamente, indicando asimismo en la figura por cuánto dividen el resto de las patas. Estudiemos ahora cómo opera mirando la fig.4  A la izquierda de esta figura vemos los pulsos normales, sin la conexión de ningún diodo y a la derecha cuando le conectamos diodos en las patas 7 y 6.

                        Nos concentramos en la fig.4 derecha, en el primer pulso la pata 9 está en estado alto, pero las patas 6 y 7 están en estado bajo, por lo que los diodos hacen que la pata 11 (reset) esté en estado bajo (0 lógico).

                        En el segundo y tercer impulso la pata 7 pasó a estado alto, pero sigue habiendo un 0 lógico en la pata 11, dado que el diodo de la pata 6 sigue estando en estado bajo.

                        Lo mismo ocurre en los pulsos cuarto y quinto, pero en este caso la pata 6 está en estado alto y la que deriva a masa al diodo es la pata 7.

                        En el sexto pulso la cosa cambia, tanto la pata 6 como la 7 están en estado alto, con lo que los diodos quedan polarizados en inversa (recuerden fig.1) y tenemos entonces un 1 lógico en la pata 11 (reset). Ahora, ¿qué sucedía en el contador cuando aplicábamos tensión al reset? Simple: volvía a cero. Con lo que sucede que cada 6 pulsos tenemos uno de reset y vuelve a comenzar de cero, o lo que es lo mismo: divide por 6.

                     En la figura 5 tenemos una tabla con la que podemos dividir cualquier número hasta 4095, que es la suma de los pesos de todas las patitas. En la tabla observamos una fila donde va el número a dividir, abajo el peso de la patita divisora, abajo el resto (lo que queda de la operación aritmética) y por último el número de la patita en el encapsulado del integrado.

                        Teníamos entonces que 3.580.000 ÷ 5000= 716, que era la frecuencia del cristal, que habíamos llevado a un número entero (la original era 3.579.545) y la frecuencia de entrada al primer divisor del CD4518, para obtener por último 50cs para el CD4018. Bien, tenemos que ubicar el factor de división que queremos (716 en este caso) en una casilla en que lo podamos restar y nos quede algo. En este caso sería 716 – 512 = 204. Esta cantidad no la podemos restar al peso 256, pero sí al 128, con resto 76, que lo restamos al 64 y nos da 12, que lo podemos restar recién con el peso 8 con resto 4, que lo restamos al 4 y da cero.

                        El cableado es fácil: hay que conectar un diodo a cada patita donde se tiene resto, en este caso las patas 14, 13, 4, 5 y 6. Si sumamos los pesos de estas patas tenemos: 512 + 128 + 64 + 8 + 4 = 716.

                        Bien, con esto terminamos la explicación teórica del contador digital. Queda recomendarles prolijidad en el armado, háganlo con paciencia y revean varias veces el dibujo de las pistas por si olvidaron o equivocaron alguna. Los prototipos que se muestran en esta revista los hice a mano con una fibra de tinta indeleble o resistente al agua. Utilizo un método cro-magnon pero efectivo:  saco una fotocopia de la plaqueta; recorto el dibujo y lo pego en los bordes con cinta de papel. Con un pinche o pin de oficina pincho en los agujeritos para que no baile la mecha al apoyarla; agujereo luego con una mecha de 0,8mm; quito el papel; le paso una virulana;  pinto con la fibra las líneas y la llevo al percloruro de hierro.

                        Para el que no tiene torno pequeño para colocar las mechas de 0,8mm les paso una idea: tomen un motor en desuso de un radiograbador. Consíganse una  aguja de jeringa y sáquenle el  plástico que enlaza la aguja con el cuerpo de la jeringa. Extraigan la aguja y péguenle con cianoacrilato (la gotita, bah) una mecha de 0,8mm. El otro agujerito péguenlo también al eje del motor. Antes de que se seque traten de centrarlo lo mejor posible. Una mecha de éstas, si la usan solamente para pertinax les va a durar muchos años.